2008
DOI: 10.1117/12.772908
|View full text |Cite
|
Sign up to set email alerts
|

Dual damascene BEOL processing using multilevel step and flash imprint lithography

Abstract: Step and Flash Imprint Lithography (S-FIL ® ) in conjunction with Sacrificial Imprint Materials (SIM) shows promise as a cost effective solution to patterning sub 45 nm features and is capable of simultaneously patterning two levels of interconnect structures, which provides a high throughput and low cost BEOL process. This paper describes the integration of S-FIL into an industry standard Cu/low-k dual damascene process that is being practiced in the ATDF at Sematech in Austin. The pattern transferring reacti… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
5
0

Year Published

2009
2009
2024
2024

Publication Types

Select...
3
2
1

Relationship

0
6

Authors

Journals

citations
Cited by 12 publications
(5 citation statements)
references
References 4 publications
0
5
0
Order By: Relevance
“…The structure illustrated in Fig. 6is a symmetric multilevel geometry, similar to that used in the dual damascene work for copper interconnects [16][17]27]. A selfaligned technique to fabricate such shapes is used to validate the techniques developed in this research.…”
Section: A Self-aligned Symmetric Multilevel Structuresmentioning
confidence: 99%
See 1 more Smart Citation
“…The structure illustrated in Fig. 6is a symmetric multilevel geometry, similar to that used in the dual damascene work for copper interconnects [16][17]27]. A selfaligned technique to fabricate such shapes is used to validate the techniques developed in this research.…”
Section: A Self-aligned Symmetric Multilevel Structuresmentioning
confidence: 99%
“…In this regard, nanoimprint lithography [20] - [24] has been demonstrated as a high throughput, low-cost lithographic technique capable of patterning arbitrary geometries with demonstrated sub-10 nm feature resolution. Moreover, multilevel imprint lithography has been demonstrated to pattern multilevel structures with high-fidelity by replicating an existing multilevel pattern on the template [25][26][27][28]. Since the multilevel pattern is pre-coded in the template geometry, multilevel imprint lithography can lend itself to faithful and cost-effective replication of the same geometry without needing further alignment or overlay.…”
Section: Introductionmentioning
confidence: 99%
“…The BEOL layers, which mediate between the transistors and the package interconnects, consist of more than 10 layers of metal interconnects and vias 10 . Because NIL can transfer entire multilevel structures simultaneously, the wiring layers and via layers can be patterned in a single process, which may contribute to significant cost reduction in BEOL processing [11][12][13] . In addition, during a demonstration of a 70-nm-half-pitch single damascene process 14 , it was clarified that NIL provides excellent performance in terms of its flexible layout patterning capability and the dimensional controllability of the interconnect linewidths without the need for optical proximity correction (OPC) 15 , in contrast to ArF immersion lithography.…”
Section: Introductionmentioning
confidence: 99%
“…The microfabrication of electronic components with high resolution onto various substrates has attracted much attention in the manufacturing processes of electronic, optical, and mechanical devices. Recently, a damascene process is mainly used to fabricate an ultrahigh-density copper wiring in the semiconductor industry, which involves fabrication of trench structures on the substrates using reactive ion etching (RIE) and metal deposition in trench patterns followed by removal of the superfluous copper film with a chemical mechanical polishing (CMP). The copper minute wiring obtained by conventional damascene approaches shows line width in the range of nanometers and is embedded into dielectric rigid substrate such as silicon dioxide, and thus, this structure provides an effective interconnection with high adhesion. This approach, although being widely employed for the practical fabrication of damascene structure using rigid substrate, was not utilized for the fabrication of metal damascene on flexible substrates. Thus, the development of new methodologies for fabrication of damascene structures using flexible polymer substrates is crucial for the flexible printed circuit (FPC) fabrication, multichip module (MCM) packaging, magnetic data storage, and ultralarge-scale integrated (ULSI) circuit technology with high performance.…”
Section: Introductionmentioning
confidence: 99%